Re: [SR-Users] async processing in a module